linux/Documentation/devicetree/bindings/clock
Fabio Estevam ec76f57d62 dt-bindings: clock: syscon-icst: Remove unneeded unit name
The following warnings are seen with 'make dt_binding_check':

Documentation/devicetree/bindings/clock/arm,syscon-icst.example.dts:17.16-24.11: Warning (unit_address_vs_reg): /example-0/clock@00: node has a unit name, but no reg or ranges property
Documentation/devicetree/bindings/clock/arm,syscon-icst.example.dts:17.16-24.11: Warning (unit_address_format): /example-0/clock@00: unit name should not have leading 0s

Fix them by removing the unneeded clock unit name.

Signed-off-by: Fabio Estevam <festevam@gmail.com>
Signed-off-by: Rob Herring <robh@kernel.org>
2020-04-14 15:41:13 -05:00
..
sifive dt-bindings: clk: add documentation for the SiFive PRCI driver 2019-04-29 15:56:47 -07:00
st docs: Fix more broken references 2018-06-15 18:11:26 -03:00
ti dt-bindings: clock: Move ti-dra7-atl.h to dt-bindings/clock 2020-01-20 09:34:37 +02:00
actions,owl-cmu.txt dt-bindings: clock: Add DT bindings for Actions Semi S500 CMU 2019-02-22 00:01:08 -08:00
allwinner,sun4i-a10-ahb-clk.yaml dt-bindings: clocks: Convert Allwinner legacy clocks to schemas 2019-12-24 14:17:52 -07:00
allwinner,sun4i-a10-apb0-clk.yaml dt-bindings: clocks: Convert Allwinner legacy clocks to schemas 2019-12-24 14:17:52 -07:00
allwinner,sun4i-a10-apb1-clk.yaml dt-bindings: clocks: Convert Allwinner legacy clocks to schemas 2019-12-24 14:17:52 -07:00
allwinner,sun4i-a10-axi-clk.yaml dt-bindings: clocks: Convert Allwinner legacy clocks to schemas 2019-12-24 14:17:52 -07:00
allwinner,sun4i-a10-ccu.yaml dt-bindings: Change maintainer address 2019-12-12 18:38:10 -06:00
allwinner,sun4i-a10-cpu-clk.yaml dt-bindings: clocks: Convert Allwinner legacy clocks to schemas 2019-12-24 14:17:52 -07:00
allwinner,sun4i-a10-display-clk.yaml dt-bindings: clocks: Convert Allwinner legacy clocks to schemas 2019-12-24 14:17:52 -07:00
allwinner,sun4i-a10-gates-clk.yaml dt-bindings: clocks: Convert Allwinner legacy clocks to schemas 2019-12-24 14:17:52 -07:00
allwinner,sun4i-a10-mbus-clk.yaml dt-bindings: clocks: Convert Allwinner legacy clocks to schemas 2019-12-24 14:17:52 -07:00
allwinner,sun4i-a10-mmc-clk.yaml dt-bindings: clocks: Convert Allwinner legacy clocks to schemas 2019-12-24 14:17:52 -07:00
allwinner,sun4i-a10-mod0-clk.yaml dt-bindings: clocks: Convert Allwinner legacy clocks to schemas 2019-12-24 14:17:52 -07:00
allwinner,sun4i-a10-mod1-clk.yaml dt-bindings: clocks: Convert Allwinner legacy clocks to schemas 2019-12-24 14:17:52 -07:00
allwinner,sun4i-a10-osc-clk.yaml dt-bindings: Fix dtc warnings in examples 2020-02-28 14:15:52 -06:00
allwinner,sun4i-a10-pll1-clk.yaml dt-bindings: clocks: Convert Allwinner legacy clocks to schemas 2019-12-24 14:17:52 -07:00
allwinner,sun4i-a10-pll3-clk.yaml dt-bindings: clocks: Convert Allwinner legacy clocks to schemas 2019-12-24 14:17:52 -07:00
allwinner,sun4i-a10-pll5-clk.yaml dt-bindings: clocks: Convert Allwinner legacy clocks to schemas 2019-12-24 14:17:52 -07:00
allwinner,sun4i-a10-pll6-clk.yaml dt-bindings: clocks: Convert Allwinner legacy clocks to schemas 2019-12-24 14:17:52 -07:00
allwinner,sun4i-a10-tcon-ch0-clk.yaml dt-bindings: clocks: Convert Allwinner legacy clocks to schemas 2019-12-24 14:17:52 -07:00
allwinner,sun4i-a10-usb-clk.yaml dt-bindings: clocks: Convert Allwinner legacy clocks to schemas 2019-12-24 14:17:52 -07:00
allwinner,sun4i-a10-ve-clk.yaml dt-bindings: clocks: Convert Allwinner legacy clocks to schemas 2019-12-24 14:17:52 -07:00
allwinner,sun5i-a13-ahb-clk.yaml dt-bindings: clocks: Convert Allwinner legacy clocks to schemas 2019-12-24 14:17:52 -07:00
allwinner,sun6i-a31-pll6-clk.yaml dt-bindings: clocks: Convert Allwinner legacy clocks to schemas 2019-12-24 14:17:52 -07:00
allwinner,sun7i-a20-gmac-clk.yaml dt-bindings: clocks: Convert Allwinner legacy clocks to schemas 2019-12-24 14:17:52 -07:00
allwinner,sun7i-a20-out-clk.yaml dt-bindings: clocks: Convert Allwinner legacy clocks to schemas 2019-12-24 14:17:52 -07:00
allwinner,sun8i-a83t-de2-clk.yaml dt-bindings: clocks: Convert Allwinner DE2 clocks to a schema 2019-12-16 18:06:55 +01:00
allwinner,sun8i-h3-bus-gates-clk.yaml dt-bindings: clocks: Convert Allwinner legacy clocks to schemas 2019-12-24 14:17:52 -07:00
allwinner,sun9i-a80-ahb-clk.yaml dt-bindings: clocks: Convert Allwinner legacy clocks to schemas 2019-12-24 14:17:52 -07:00
allwinner,sun9i-a80-apb0-clk.yaml dt-bindings: clocks: Convert Allwinner legacy clocks to schemas 2019-12-24 14:17:52 -07:00
allwinner,sun9i-a80-cpus-clk.yaml dt-bindings: clocks: Convert Allwinner legacy clocks to schemas 2019-12-24 14:17:52 -07:00
allwinner,sun9i-a80-de-clks.yaml dt-bindings: clocks: Convert Allwinner A80 DE clocks to a schema 2019-12-16 18:07:06 +01:00
allwinner,sun9i-a80-gt-clk.yaml dt-bindings: Fix dtc warnings in examples 2020-02-28 14:15:52 -06:00
allwinner,sun9i-a80-mmc-config-clk.yaml dt-bindings: clocks: Convert Allwinner legacy clocks to schemas 2019-12-24 14:17:52 -07:00
allwinner,sun9i-a80-pll4-clk.yaml dt-bindings: clocks: Convert Allwinner legacy clocks to schemas 2019-12-24 14:17:52 -07:00
allwinner,sun9i-a80-usb-clocks.yaml dt-bindings: clocks: Convert Allwinner A80 USB clocks to a schema 2019-12-16 18:07:04 +01:00
allwinner,sun9i-a80-usb-mod-clk.yaml dt-bindings: clocks: Convert Allwinner legacy clocks to schemas 2019-12-24 14:17:52 -07:00
allwinner,sun9i-a80-usb-phy-clk.yaml dt-bindings: clocks: Convert Allwinner legacy clocks to schemas 2019-12-24 14:17:52 -07:00
alphascale,acc.txt dt-bindings: Remove "status" from examples 2017-09-05 10:03:06 -05:00
altr_socfpga.txt
amlogic,axg-audio-clkc.txt dt-bindings: clk: axg-audio: add sm1 bindings 2019-10-08 09:28:07 +02:00
amlogic,gxbb-aoclkc.txt dt-bindings: clk: add G12A AO Clock and Reset Bindings 2019-02-13 09:49:17 +01:00
amlogic,gxbb-clkc.txt dt-bindings: clk: meson: add sm1 periph clock controller bindings 2019-08-26 11:00:15 +02:00
amlogic,meson8-ddr-clkc.yaml dt-bindings: clock: add the Amlogic Meson8 DDR clock controller binding 2019-12-11 14:06:27 +01:00
amlogic,meson8b-clkc.txt dt-bindings: clock: meson8b: add the clock inputs 2019-12-11 14:06:28 +01:00
arm,syscon-icst.yaml dt-bindings: clock: syscon-icst: Remove unneeded unit name 2020-04-14 15:41:13 -05:00
armada3700-periph-clock.txt dt-bindings: clk: armada3700: document the PCIe clock 2019-10-03 14:00:20 -07:00
armada3700-tbg-clock.txt dt-bindings: clock: add DT binding for the TBG clocks on Armada 3700 2016-08-15 14:07:40 -07:00
armada3700-xtal-clock.txt pinctrl: dt-bindings: Add documentation for Armada 37xx pin controllers 2017-04-24 11:28:09 +02:00
artpec6.txt clk: add device tree binding for Artpec-6 clock controller 2016-04-15 16:00:37 -07:00
at91-clock.txt dt-bindings: clk: at91: add bindings for SAM9X60's slow clock controller 2019-06-26 11:33:20 -07:00
axi-clkgen.txt dt-bindings: Remove leading 0x from bindings notation 2017-12-06 14:56:33 -06:00
axs10x-i2s-pll-clock.txt clk/axs10x: Add I2S PLL clock driver 2016-05-06 10:35:04 -07:00
bitmain,bm1880-clk.yaml dt-bindings: Fix paths in schema $id fields 2020-02-05 09:14:57 +00:00
brcm,bcm63xx-clocks.txt devicetree: document the BCM63XX gated clock bindings 2019-06-27 13:35:39 -07:00
brcm,bcm2835-aux-clock.txt dt-bindings: Remove leading 0x from bindings notation 2017-12-06 14:56:33 -06:00
brcm,bcm2835-cprman.txt dt-bindings: bcm2835-cprman: Add bcm2711 support 2019-09-17 09:55:30 -07:00
brcm,bcm53573-ilp.txt clk: bcm: Add driver for BCM53573 ILP clock 2016-09-16 16:31:29 -07:00
brcm,iproc-clocks.txt dt-bindings: clk: Update Stingray binding doc 2018-06-01 23:26:36 -07:00
brcm,kona-ccu.txt dt-bindings: Remove "status" from examples 2017-09-05 10:03:06 -05:00
calxeda.txt
cirrus,lochnagar.txt clk: lochnagar: Update DT binding doc to include the primary SPDIF MCLK 2019-06-27 14:17:30 -07:00
clk-exynos-audss.txt We have two changes to the core framework this time around. The first being a 2017-11-17 20:04:24 -08:00
clk-palmas-clk32kg-clocks.txt
clk-s5pv210-audss.txt dt-bindings: Remove leading zeros from bindings notation 2017-11-09 17:05:05 -06:00
clock-bindings.txt dt-bindings: clk: fix example for single-output provider 2020-03-23 14:59:28 -06:00
clps711x-clock.txt clk: clps711x: Changing the compatibility string to match with the smallest supported chip 2016-07-06 17:38:13 +02:00
cs2000-cp.txt clk: add CS2000 Fractional-N driver 2015-11-30 16:33:38 -08:00
csr,atlas7-car.txt clk: sirf: add CSR atlas7 clk and reset support 2015-05-20 15:43:28 -07:00
dove-divider-clock.txt dt-bindings: Remove leading zeros from bindings notation 2017-11-09 17:05:05 -06:00
efm32-clock.txt
exynos4-clock.txt dt-bindings: Remove leading 0x from bindings notation 2017-12-06 14:56:33 -06:00
exynos7-clock.txt clk: samsung: exynos7: add clocks for audio block 2015-01-15 15:18:51 +01:00
exynos3250-clock.txt dt-bindings: Use lower case hex in unit-addresses 2017-12-26 10:37:05 -06:00
exynos5250-clock.txt dt-bindings: Remove leading 0x from bindings notation 2017-12-06 14:56:33 -06:00
exynos5260-clock.txt dt-bindings: Use lower case hex in unit-addresses 2017-12-26 10:37:05 -06:00
exynos5410-clock.txt dt-bindings: Use lower case hex in unit-addresses 2017-12-26 10:37:05 -06:00
exynos5420-clock.txt dt-bindings: Remove leading 0x from bindings notation 2017-12-06 14:56:33 -06:00
exynos5433-clock.txt clk: samsung: dt-bindings: Document Exynos5433 IMEM CMU 2019-02-01 14:36:47 +01:00
fixed-clock.yaml dt-bindings: clock: Convert fixed-clock binding to json-schema 2019-01-24 14:51:16 -08:00
fixed-factor-clock.yaml dt-bindings: clock: Convert fixed-factor-clock to json-schema 2019-01-24 14:52:27 -08:00
fixed-mmio-clock.txt dt-bindings: clk: Add bindings for Fixed MMIO clock 2019-01-09 11:30:19 -08:00
fsl,plldig.yaml dt-bindings: Add missing 'additionalProperties: false' 2020-03-31 09:03:17 -06:00
fsl,sai-clock.yaml dt-bindings: Fix paths in schema $id fields 2020-02-05 09:14:57 +00:00
fujitsu,mb86s70-crg11.txt clk: Add clock driver for mb86s7x 2015-04-10 13:51:55 -07:00
gpio-gate-clock.txt
gpio-mux-clock.txt clk: add gpio controlled clock multiplexer 2015-07-06 17:20:52 -07:00
hi3620-clock.txt
hi3660-clock.txt dt-bindings: clk: Hi3660: Document stub clock 2017-12-06 22:54:37 -08:00
hi3670-clock.txt dt-bindings: clk: hisilicon: Add bindings for Hi3670 clk 2018-10-16 14:46:56 -07:00
hi6220-clock.txt clk: hi6220: add acpu clock 2017-06-19 19:02:42 -07:00
hisi-crg.txt clk: hisilicon: add CRG driver for Hi3798CV200 SoC 2016-11-11 15:43:49 -08:00
hix5hd2-clock.txt dt-bindings: Remove "status" from examples 2017-09-05 10:03:06 -05:00
idt,versaclock5.txt dt: Add bindings for IDT VersaClock 5P49V5925 2017-07-17 11:51:00 -07:00
img,boston-clock.txt dt-bindings: Document img,boston-clock binding 2017-07-11 14:13:06 +02:00
imx1-clock.txt dt-bindings: Remove leading zeros from bindings notation 2017-11-09 17:05:05 -06:00
imx5-clock.txt dt-bindings: Remove "status" from examples 2017-09-05 10:03:06 -05:00
imx6q-clock.txt clk: imx6q: optionally get CCM inputs via standard clock handles 2018-12-10 11:37:07 -08:00
imx6sl-clock.txt
imx6sll-clock.txt dt-bindings: imx: update clock doc for imx6sll 2018-04-06 11:27:26 -07:00
imx6sx-clock.txt
imx6ul-clock.txt Document: dt: binding: imx: update document for imx6ul support 2015-08-11 23:15:16 +08:00
imx7d-clock.txt Document: dt: binding: imx: update document for imx7d support 2015-06-03 15:03:59 +08:00
imx7ulp-clock.txt clk: imx7ulp: do not export out IMX7ULP_CLK_MIPI_PLL clock 2019-10-28 19:32:37 +08:00
imx8mm-clock.yaml dt-bindings: imx8mm-clock: Fix the file path 2020-03-26 23:09:43 -07:00
imx8mn-clock.yaml There's not much to see in the core framework this time around. Instead the 2020-04-05 10:43:32 -07:00
imx8mp-clock.yaml dt-bindings: Add missing 'additionalProperties: false' 2020-03-31 09:03:17 -06:00
imx8mq-clock.yaml dt-bindings: imx8mq-clock: Fix the file path 2020-03-26 23:09:43 -07:00
imx8qxp-lpcg.txt dt-bindings: clock: add imx8qxp lpcg clock binding 2018-12-13 22:12:46 -08:00
imx21-clock.txt dt-bindings: Remove "status" from examples 2017-09-05 10:03:06 -05:00
imx23-clock.txt dt-bindings: Remove "status" from examples 2017-09-05 10:03:06 -05:00
imx25-clock.txt dt-bindings: Remove "status" from examples 2017-09-05 10:03:06 -05:00
imx27-clock.txt dt-bindings: Remove "status" from examples 2017-09-05 10:03:06 -05:00
imx28-clock.txt dt-bindings: Remove "status" from examples 2017-09-05 10:03:06 -05:00
imx31-clock.txt dt-bindings: Remove "status" from examples 2017-09-05 10:03:06 -05:00
imx35-clock.txt ARM: dts: imx35: restore existing used clock enumeration 2016-04-18 12:37:21 +08:00
ingenic,cgu.txt dt-bindings: clock: Add X1000 bindings. 2019-11-13 16:00:48 -08:00
intc_stratix10.txt dt-bindings: documentation: add clock bindings information for Stratix10 2018-04-06 09:54:59 -07:00
keystone-gate.txt
keystone-pll.txt clk: keystone: add support for post divider register for main pll 2015-06-18 15:36:33 -07:00
lpc1850-ccu.txt doc: dt: add documentation for lpc1850-ccu clk driver 2015-06-18 15:44:48 -07:00
lpc1850-cgu.txt doc: dt: add documentation for lpc1850-cgu clk driver 2015-06-18 15:44:47 -07:00
lpc1850-creg-clk.txt doc: dt: add documentation for lpc1850-creg-clk driver 2016-03-04 12:53:20 -08:00
lsi,axm5516-clks.txt
marvell,berlin.txt Documentation: bindings: move the Berlin clock documentation 2015-05-16 00:07:47 +02:00
marvell,mmp2-clock.yaml dt-bindings: clock: Add MMP3 compatible string 2020-03-20 18:19:31 -07:00
marvell,pxa168.txt clk: mmp: add pxa168 DT support for clock driver 2014-11-12 16:34:14 -08:00
marvell,pxa910.txt clk: mmp: add pxa910 DT support for clock driver 2014-11-12 16:34:18 -08:00
marvell,pxa1928.txt dt-bindings: Add pxa1928 clock binding 2015-05-15 12:31:38 -07:00
maxim,max9485.txt dts: clk: add devicetree bindings for MAX9485 2018-07-06 11:27:24 -07:00
maxim,max77686.txt dt-bindings: Remove leading zeros from bindings notation 2017-11-09 17:05:05 -06:00
microchip,pic32.txt dt/bindings/clk: Add PIC32 clock binding documentation. 2016-05-13 15:30:25 +02:00
milbeaut-clock.yaml dt-bindings: Add missing 'additionalProperties: false' 2020-03-31 09:03:17 -06:00
moxa,moxart-clock.txt
mvebu-core-clock.txt dt-bindings: clock: mvebu: Add compatible string for 98dx1135 core clock 2019-06-25 14:35:10 -07:00
mvebu-corediv-clock.txt clk: mvebu: support for 98DX3236 SoC 2017-01-27 10:48:03 -08:00
mvebu-cpu-clock.txt clk: mvebu: support for 98DX3236 SoC 2017-01-27 10:48:03 -08:00
mvebu-gated-clock.txt ARM: dts: mvebu: Move mv98dx3236 clock bindings 2017-03-08 09:52:54 +01:00
nspire-clock.txt
nuvoton,npcm750-clk.txt dt-binding: clk: npcm750: Add binding for Nuvoton NPCM7XX Clock 2018-03-23 10:11:25 -07:00
nvidia,tegra20-car.txt
nvidia,tegra30-car.txt
nvidia,tegra114-car.txt
nvidia,tegra124-car.txt of: document external-memory-controller property in tegra124-car 2015-05-13 15:17:10 +02:00
nvidia,tegra124-dfll.txt dt-bindings: clock: tegra124-dfll: add Tegra210 support 2019-02-06 14:27:21 +01:00
nvidia,tegra210-car.txt clk: tegra: Add Tegra210 device tree binding 2015-11-16 08:21:56 +01:00
nxp,lpc3220-clk.txt dt-bindings: clock: add description of LPC32xx clock controller 2015-12-24 12:31:19 -08:00
nxp,lpc3220-usb-clk.txt dt-bindings: clock: add description of LPC32xx USB clock controller 2015-12-24 12:31:21 -08:00
oxnas,stdclk.txt dt-bindings: clk: oxnas,stdclk: Add OX820 bindings 2016-10-23 10:19:03 -07:00
pistachio-clock.txt CLK: Add binding document for Pistachio clock controllers 2015-03-27 18:51:31 +01:00
prima2-clock.txt
pwm-clock.txt clk: Add PWM clock driver 2015-04-10 14:44:43 -07:00
pxa-clock.txt dt-bindings: Remove "status" from examples 2017-09-05 10:03:06 -05:00
qca,ath79-pll.txt dt-bindings: clock: qca,ath79-pll: fix copy-paste typos 2016-04-03 12:32:09 +02:00
qcom,a53pll.txt clk: qcom: Add A53 PLL support 2018-01-02 10:00:24 -08:00
qcom,camcc.txt dt-bindings: clock: Introduce QCOM Camera clock bindings 2018-08-30 18:26:45 -07:00
qcom,gcc-apq8064.yaml dt-bindings: Add missing 'additionalProperties: false' 2020-03-31 09:03:17 -06:00
qcom,gcc-ipq8074.yaml dt-bindings: Add missing 'additionalProperties: false' 2020-03-31 09:03:17 -06:00
qcom,gcc-msm8996.yaml dt-bindings: Add missing 'additionalProperties: false' 2020-03-31 09:03:17 -06:00
qcom,gcc-msm8998.yaml dt-bindings: Add missing 'additionalProperties: false' 2020-03-31 09:03:17 -06:00
qcom,gcc-qcs404.yaml dt-bindings: Add missing 'additionalProperties: false' 2020-03-31 09:03:17 -06:00
qcom,gcc-sc7180.yaml dt-bindings: Add missing 'additionalProperties: false' 2020-03-31 09:03:17 -06:00
qcom,gcc-sm8150.yaml dt-bindings: Add missing 'additionalProperties: false' 2020-03-31 09:03:17 -06:00
qcom,gcc-sm8250.yaml dt-bindings: clock: Add SM8250 GCC clock bindings 2020-03-09 15:14:56 -07:00
qcom,gcc.yaml dt-bindings: Add missing 'additionalProperties: false' 2020-03-31 09:03:17 -06:00
qcom,hfpll.txt dt-bindings: clock: Document qcom,hfpll 2018-10-17 13:14:42 -07:00
qcom,krait-cc.txt dt-bindings: clock: Document qcom,krait-cc 2018-10-17 13:15:01 -07:00
qcom,lcc.txt dt-bindings: clock: Update bindings for MDM9615 GCC and LCC 2016-08-15 16:06:40 -07:00
qcom,lpasscc.txt dt-bindings: clock: Introduce QCOM LPASS clock bindings 2018-12-03 09:25:01 -08:00
qcom,mmcc.yaml dt-bindings: Add missing 'additionalProperties: false' 2020-03-31 09:03:17 -06:00
qcom,msm8998-gpucc.yaml dt-bindings: Add missing 'additionalProperties: false' 2020-03-31 09:03:17 -06:00
qcom,q6sstopcc.yaml dt-bindings: clock: qcom: Add QCOM Q6SSTOP clock controller bindings 2019-10-17 14:50:40 -07:00
qcom,rpmcc.txt clk: qcom: clk-rpm: add missing rpm clk for ipq806x 2020-03-13 13:56:31 -07:00
qcom,rpmhcc.yaml There's not much to see in the core framework this time around. Instead the 2020-04-05 10:43:32 -07:00
qcom,sc7180-dispcc.yaml dt-bindings: Add missing 'additionalProperties: false' 2020-03-31 09:03:17 -06:00
qcom,sc7180-gpucc.yaml dt-bindings: Add missing 'additionalProperties: false' 2020-03-31 09:03:17 -06:00
qcom,sc7180-mss.yaml dt-bindings: clock: Add YAML schemas for the QCOM MSS clock bindings 2020-03-20 16:27:58 -07:00
qcom,sc7180-videocc.yaml dt-bindings: Add missing 'additionalProperties: false' 2020-03-31 09:03:17 -06:00
qcom,sdm845-dispcc.yaml dt-bindings: Add missing 'additionalProperties: false' 2020-03-31 09:03:17 -06:00
qcom,sdm845-gpucc.yaml dt-bindings: Add missing 'additionalProperties: false' 2020-03-31 09:03:17 -06:00
qcom,sdm845-videocc.yaml dt-bindings: Add missing 'additionalProperties: false' 2020-03-31 09:03:17 -06:00
qcom,spmi-clkdiv.txt dt-bindings: Add qcom spmi_pmic clock divider bindings 2017-12-06 22:29:48 -08:00
qcom,turingcc.txt dt-bindings: clock: Introduce Qualcomm Turing Clock controller 2019-04-11 13:34:10 -07:00
qoriq-clock.txt dt-bindings: qoriq-clock: Add ls1028a chip compatible string 2019-04-25 11:23:15 -07:00
renesas,cpg-div6-clocks.txt clk: shmobile: div6: Make clock-output-names optional 2015-12-08 14:32:58 +01:00
renesas,cpg-mssr.yaml dt-bindings: clock: renesas: cpg-mssr: Convert to json-schema 2020-03-11 09:02:26 +01:00
renesas,cpg-mstp-clocks.txt clk: renesas: mstp: Document R8A7792 support 2016-06-21 09:19:29 +02:00
renesas,emev2-smu.txt dt-bindings: clk: emev2: Rename bindings documentation file 2019-08-21 11:24:09 +02:00
renesas,h8s2678-pll-clock.txt h8300: clock driver 2015-06-23 13:35:55 +09:00
renesas,h8300-div-clock.txt clk: h8300: Remove "sh73a0-" part from compatible value 2016-01-20 22:43:26 +09:00
renesas,r8a73a4-cpg-clocks.txt clk: shmobile: r8a73a4 common clock framework implementation 2015-01-08 16:14:30 +01:00
renesas,r8a7740-cpg-clocks.txt
renesas,r8a7778-cpg-clocks.txt dt-bindings: Remove "status" from examples 2017-09-05 10:03:06 -05:00
renesas,r8a7779-cpg-clocks.txt clk: shmobile: r8a7779: Add CPG/MSTP Clock Domain support 2015-08-12 10:31:27 +09:00
renesas,r9a06g032-sysctrl.txt dt-bindings: clock: renesas: r9a06g032-sysctrl: Document power Domains 2019-06-04 11:50:58 +02:00
renesas,rcar-usb2-clock-sel.txt dt-bindings: clock: renesas: rcar-usb2-clock-sel: Add power-domains and resets properties 2020-03-09 09:29:38 +01:00
renesas,rz-cpg-clocks.txt clk: renesas: rz: clk-rz is meant for RZ/A1 2017-10-20 10:59:54 +02:00
renesas,sh73a0-cpg-clocks.txt clk: shmobile: sh73a0 common clock framework implementation 2014-12-21 17:09:20 +09:00
rockchip,px30-cru.txt arm64: dts: rockchip: document explicit px30 cru dependencies 2019-10-03 23:24:06 +02:00
rockchip,rk3036-cru.txt clk: rockchip: rk3036: rename emac ext source clock 2016-01-16 16:01:21 +01:00
rockchip,rk3128-cru.txt dt-bindings: add documentation for rk3126 clock 2017-08-08 17:30:14 +02:00
rockchip,rk3188-cru.txt Documentation: dt: clock: fix spelling mistakes 2016-04-25 08:40:35 -05:00
rockchip,rk3228-cru.txt dt-bindings: add documentation of rk3228 clock controller 2015-12-12 20:04:51 +01:00
rockchip,rk3288-cru.txt Documentation: dt: clock: fix spelling mistakes 2016-04-25 08:40:35 -05:00
rockchip,rk3308-cru.txt dt-bindings: Add bindings for rk3308 clock controller 2019-09-05 11:32:05 +02:00
rockchip,rk3328-cru.txt clk: rockchip: document hdmi_phy external input for rk3328 2018-02-12 15:00:58 +01:00
rockchip,rk3368-cru.txt dt-bindings: add documentation of rk3668 clock controller 2015-07-06 15:08:24 -07:00
rockchip,rk3399-cru.txt dt-bindings: clk: add rockchip,grf property for RK3399 2017-01-13 20:02:27 +01:00
rockchip,rv1108-cru.txt dt-bindings: rk1108-cru: rename RK1108 to RV1108 2017-03-22 18:02:57 +01:00
samsung,s2mps11.txt dt-bindings: regulator/clock/mfd: Reorganize S2MPS-family bindings 2016-01-14 08:43:48 +00:00
samsung,s3c64xx-clock.txt dt-bindings: Remove "status" from examples 2017-09-05 10:03:06 -05:00
samsung,s3c2410-clock.txt dt-bindings: Remove "status" from examples 2017-09-05 10:03:06 -05:00
samsung,s3c2412-clock.txt dt-bindings: Remove "status" from examples 2017-09-05 10:03:06 -05:00
samsung,s3c2443-clock.txt dt-bindings: Remove "status" from examples 2017-09-05 10:03:06 -05:00
samsung,s5pv210-clock.txt dt: fix refs that were renamed to json with the same file name 2019-05-22 09:01:01 -05:00
silabs,si514.txt Add driver for the si514 clock generator chip 2015-10-08 23:52:55 -07:00
silabs,si544.txt clk: Add driver for the si544 clock generator chip 2018-03-23 10:05:25 -07:00
silabs,si570.txt
silabs,si5341.txt dt-bindings: clock: Add silabs,si5341 2019-06-27 13:56:44 -07:00
silabs,si5351.txt clk: si5351: Add DT property to enable PLL reset 2017-12-21 18:09:18 -08:00
snps,hsdk-pll-clock.txt ARC: clk: introduce HSDK pll driver 2017-08-30 22:36:05 -07:00
snps,pll-clock.txt clk: axs10x: introduce AXS10X pll driver 2017-07-17 11:50:59 -07:00
socionext,uniphier-clock.yaml dt-bindings: clock: Convert UniPhier clock to json-schema 2020-03-02 16:26:02 -06:00
sprd,sc9860-clk.txt dt-bindings: clk: sprd: rename the common file name sprd.txt to SoC specific 2020-03-24 19:03:56 -07:00
sprd,sc9863a-clk.yaml dt-bindings: clk: sprd: add bindings for sc9863a clock controller 2020-03-24 19:03:56 -07:00
st,nomadik.txt
st,stm32-rcc.txt clk: stm32: Introduce clocks of STM32F769 board 2019-04-25 11:46:36 -07:00
st,stm32h7-rcc.txt dt-bindings: clk: stm32h7: fix clock-cell size 2017-09-21 18:39:11 -05:00
st,stm32mp1-rcc.yaml dt-bindings: Fix paths in schema $id fields 2020-02-05 09:14:57 +00:00
ste-u300-syscon-clock.txt
stericsson,abx500.txt clk: ux500: Add device tree bindings for ABx500 clocks 2017-01-26 16:10:01 -08:00
tango4-clock.txt clk: tango4: clkgen driver for Tango4 platforms 2015-11-16 11:07:06 -08:00
ti,am654-ehrpwm-tbclk.yaml dt-bindings: clock: Add binding documentation for TI EHRPWM TBCLK 2020-03-20 17:05:48 -07:00
ti,cdce706.txt dt-bindings: Remove leading zeros from bindings notation 2017-11-09 17:05:05 -06:00
ti,cdce925.txt dt-bindings: clock: cdce925: Add regulator documentation 2019-09-06 10:31:15 -07:00
ti,sci-clk.txt dt-bindings: clock: ti-sci: Fix incorrect usage of headers 2017-07-17 12:04:18 -05:00
ti-clkctrl.txt clk: ti: clkctrl: Fix hidden dependency to node name 2020-01-20 12:29:27 +02:00
ti-keystone-pllctrl.txt dt-bindings: Remove leading 0x from bindings notation 2017-12-06 14:56:33 -06:00
ux500.txt clk: add bindings for the Ux500 clocks 2015-08-24 16:49:15 -07:00
vf610-clock.txt ARM: dts: vf610: assign oscillator to clock module 2014-11-23 15:08:09 +08:00
vt8500.txt
xgene.txt Documentation: dt: xgene: Add PMD clock binding 2016-09-14 13:53:53 -07:00
xlnx,versal-clk.yaml dt-bindings: Fix paths in schema $id fields 2020-02-05 09:14:57 +00:00
xlnx,zynqmp-clk.txt dt-bindings: xilinx: Separate clock binding from firmware doc 2019-03-18 13:41:31 +01:00
zx296702-clk.txt dt-bindings: Remove leading 0x from bindings notation 2017-12-06 14:56:33 -06:00
zx296718-clk.txt dt-bindings: Remove "status" from examples 2017-09-05 10:03:06 -05:00
zynq-7000.txt