Minor cleanup.

This commit is contained in:
Andreas Kling 2018-10-31 00:25:55 +01:00
parent 72e75c52e3
commit 555c10a1f8
3 changed files with 5 additions and 6 deletions

View file

@ -13,7 +13,7 @@ enum Function {
Spawn = 0x1981,
Sleep = 0x1982,
Yield = 0x1983,
PutCharacter = 1984,
PutCharacter = 0x1984,
PosixOpen = 0x1985,
PosixClose = 0x1986,
PosixRead = 0x1987,

View file

@ -106,10 +106,10 @@ void clock_handle()
if ((current->tss().cs & 3) != 0) {
#if 0
kprintf("clock'ed across to ring0\n");
kprintf("code: %w:%x\n", current->tss().cs, current->tss().eip);
kprintf(" stk: %w:%x\n", current->tss().ss, current->tss().esp);
kprintf("astk: %w:%x\n", regs.ss_if_crossRing, regs.esp_if_crossRing);
dbgprintf("clock'ed across to ring0\n");
dbgprintf("code: %w:%x\n", current->tss().cs, current->tss().eip);
dbgprintf(" stk: %w:%x\n", current->tss().ss, current->tss().esp);
dbgprintf("astk: %w:%x\n", regs.ss_if_crossRing, regs.esp_if_crossRing);
//HANG;
#endif
current->tss().ss = regs.ss_if_crossRing;

View file

@ -12,7 +12,6 @@ extern "C" {
int putchar(int ch)
{
write(0, &ch, 1);
//Syscall::invoke(Syscall::PutCharacter, ch);
return (byte)ch;
}