Add signal logic to the build.

This commit is contained in:
Jonathan Mini 2003-02-23 21:14:08 +00:00
parent 3fbe138ca9
commit f88cb3c627
Notes: svn2git 2020-12-20 02:59:44 +00:00
svn path=/head/; revision=111359
2 changed files with 4 additions and 0 deletions

View file

@ -78,6 +78,8 @@ SRCS+= \
thr_seterrno.c \
thr_setprio.c \
thr_setschedparam.c \
thr_sig.c \
thr_sigaction.c \
thr_sigmask.c \
thr_sigsuspend.c \
thr_sigwait.c \

View file

@ -78,6 +78,8 @@ SRCS+= \
thr_seterrno.c \
thr_setprio.c \
thr_setschedparam.c \
thr_sig.c \
thr_sigaction.c \
thr_sigmask.c \
thr_sigsuspend.c \
thr_sigwait.c \